Skip to main content
德胜云
  万速智能9 > 服务器知识

学到了「【民生电子】专题报告:AI服务器元年,接口芯片核心受益」天津公安民生服务平台电话号码天津公安民生服务平台无犯罪证明

2024-04-29 02:01:59 浏览:

学到了「【民生电子】专题报告:AI服务器元年,接口芯片核心受益」天津公安民生服务平台电话号码天津公安民生服务平台无犯罪证明

历史跟踪:

深度报告:

专题报告:国产AI算力芯片全景图230319

专题报告:Chiplet,AI芯片算力跨越的破局之路230228

专题报告:量化chatGPT对服务器的需求推动230222

摘要

AIGC带动AI服务器需求量与日俱增,用于服务器内、外部数据传输等接口芯片也随之攀升,本文基于服务器内、外部高速互联需求,梳理了各类高速接口芯片市场情况。

海量数据带来高速传输需求,服务器高速互联芯片不可或缺:

CPU和GPU等组件互联:PCIe Switch。自2003年PCIe 1.0推出起速率持续升级,目前PCIe 6.0传输速率跃升至64GT/s。参考QYResearch数据,2021年全球PCIe芯片销售额7.9亿美元,预计2028年将达到18亿美元。目前全球仅少数厂商量产PCIe Switch芯片,博通、微芯科技和祥硕科技三家共占全球约58%份额。

因PCIe传输速率不断攀升,工作频率越来越高,不可避免的带来了信号衰减问题,PCIe Retimer、Redriver可有效解决信号衰减,其中PCIe Retimer有望为解决信号衰减最优方案。目前PCIe Retimer市场,谱瑞-KY、Astera labs、澜起科技三足鼎立,其中澜起为全球可量产PCIe 4.0 Retimer的唯一中国大陆供应商,且PCIe 5.0 Retimer 研发顺利。

GPU与GPU互联:NVLink、NVSwitch。针对AI服务器中PCIe带宽限制,以NVDIA NVLink为代表的高速接口芯片应运而生。NVLink迭代升级至第四代,单链可实现50 GB/s的双向带宽,单芯片可支持18链路,即900 GB/s的总双向带宽。多GPU间通信则采用NVSwitch,第三代NVSwitch采用 TSMC 4N 工艺,每个NVSwitch芯片上拥有64个NVLink 4.0端口。此外,NVLink、NVSwitch也可实现服务器节点间的高速扩展性互联。通过在服务器外部增加一层NVSwitch,NVLink网络最多可连接32个服务器、256个GPU,并提供57.6TB/s的多对多带宽。

CPU与DRAM高速互联:内存接口芯片(RCD+DB)。DDR5时代速率进一步升级,最高传输可由DDR4 Gen2plus支持的3200MT/s进一步提升至4800MT/s以上,且工作电压持续降低至1.1V。目前内存接口芯片三强争霸,澜起科技可为DDR5系列内存模组提供完整的内存接口及模组配套芯片解决方案,是目前全球可提供全套解决方案的两家公司之一。

服务器外部模块间高速传输需求激增,SerDes核心受益:

服务器互联传输速率提升,光纤成为服务器间传输的主流介质,其中光模块内需集成高速SerDes通道,例如QSFP28光模块会配备4个25Gbps SerDes通道来满足100GE速率的光电信号转化。并且随着服务器传输需求增长,光模块带宽也会增长,提升方法有两种:1)增加SerDes通道数;2)提高每个SerDes通道的比特速率。

交换机的带宽也会随应用需求而提升,各厂商正推动交换机的数据链路从100GE向400GE和800GE等更高速率方向发展带动,交换机ASIC内SerDes通道数增加至512通道,速率提升至100Gbps。

此外,服务器的各种外接设备连接、驱动等需求的提升,拓展多种接口芯片市场空间,如USB(用于连接鼠标等)、SAS(用于连接存储设备)、SATA(用于连接驱动)等。

投资建议:AI时代浪潮汹涌,海量数据催生庞大的算力需求,将带动服务器产业链需求量持续上涨。作为芯片与外部世界的交互媒介,接口芯片实现量增的同时,也朝向更高速率、更大传输带宽发展。建议关注芯原股份、裕太微-U、澜起科技、龙迅股份等。

风险提示:AI应用不及预期;AI服务器出货不及预期;国产替代进展不及预期。

1AI服务器前景广阔,接口芯片需求广泛

AIGC大时代来临,ChatGPT等大语言模型的推出,带动AI服务器需求量与日俱增。服务器行业由早期以传统服务器为主的市场格局,转变为传统服务器、云服务器、AI服务器和边缘服务器四足鼎立。当下,AI下游应用的持续火爆和算力要求的增加,AI服务器需求与日俱增。据TrendForce数据,AI服务器出货约13万台,占全球服务器出货约1%,展望2023年,Microsoft、Meta、Baidu与ByteDance相继推出基于生成式AI衍生的产品服务而积极加单,预估23年AI服务器出货量年增率可望达15.4%,且随着未来ChatGPT对AI服务器需求用量,预计2023-2027年AI服务器将以12.2%年复合增速成长。

接口芯片在AI服务器数据传输中至关重要,需求将伴随服务器市场增长。在AI服务器需求增长的同时,针对于服务器内部之间或与其他设备的数据的传输、控制和管理等接口功能的要求也随之逐渐增加。服务器的升级与需求量提升也会带动接口芯片的增长。接下来,本文将具体从服务器内部互联,服务器外部接口两方面来梳理接口芯片行业情况。

2服务器内部组件高速互联,接口芯片不可或缺

2.1 DXG H100服务器为例,拆解服务器内部高速互联架构

DGX H100于2022年推出,是NVIDIA的DGX系统的最新迭代产品,也是 NVIDIA DGX SuperPOD的基础。DXG服务器配备8块H100 GPU,6400亿个晶体管,在全新的FP8精度下AI性能比上一代高6倍,可提供900GB/s的带宽。DGX H100服务器内部架构如下图所示:

在DGX H100服务器内部,蓝色方块为IP网卡,既可充当网卡又可发挥PCIe Switch扩展功能,成为CPU与GPU(H100)之间互联的桥梁。其内部采用PCle 5.0 标准。此外,CX7 以网卡芯片的形式做成 2 块板卡来插入服务器,每 4个 CX7 芯片组成一块板卡并且对外输出2个800G OSFP光模块口。

而GPU(H100)之间互联主要通过NV Switch芯片来实现。每个DGX H100 内的一个GPU向外伸出18个NVLink ,单链双向带宽为50 GB/s,共计18*50GB/s=900GB/s 双向带宽,拆分到4个板载的NV Switch上去,因而每个NV Switch对应4-5个OSFP光模块(共计18个)。每个OSFP光模块采用8个光通道,每个通道的传输速率为100Gbps,因此总速率高达800Gbps,能够实现高速的数据传输。

2.2CPU、GPU等组件互联:PCIE Switch、Retimer芯片

2.2.1 PCIe技术不断升级,速率不断提升

PCIe Switch即PCIe开关或PCIe交换机,主要作用是实现PCIe设备互联,PCIe Switch芯片与其设备的通信协议都是PCIe。由于PCIe的链路通信是一种端对端的数据传输,因此需要Switch提供扩展或聚合能力,从而允许更多的设备连接到一个PCle端口,以解决PCIe通道数量不够的问题。目前PCIe Switch不仅已经被广泛应用在了传统存储系统中,而且在部分服务器平台中也逐渐普及,用于提高数据传输的速度。

PCIe总线技术升级,PCIe Switch每代速率提升。PCIe总线是PCI总线的高速串行替代品。2001年,Intel公布取代PCI总线的第三代I/O技术——“3GIO”,2002年该项技术经过PCI特殊兴趣组织(PCI-SIG)审核后正式改名为“PCI Express”,标志着PCIe的诞生。2003年,PCIe 1.0正式发布,可支持每通道传输速率为250MB/s,总传输速率为2.5 GT/s。2007年PCI-SIG宣布推出 PCI Express Base 2.0 规范。在PCIe 1.0的基础上将总传输速率提高了一倍,达到 5 GT/s,每通道传输速率从 250 MB/s 上升至500 MB/s。2022年PCI-SIG 正式发布PCIe 6.0规范,将总带宽提高至64 GT/s。

随着PCIe在服务器中越来越广泛地被应用,PCIe Switch的市场需求也被带动起来。根据QYResearch(恒州博智)的统计及预测,2021年全球PCIe芯片市场销售额达到了7.9亿美元,预计2028年将达到18亿美元,年复合增长率(CAGR)为11.9%。

中国是PCIe Switch最大市场。随着服务器对海量数据存储与传输的要求日益提高,PCIe Switch市场发展成为一片蓝海。中国是全球最大的电子产品生产和消费国家之一,在大数据、云计算、人工智能等领域,需要大量高速互联解决方案来实现海量数据传输。而PCIe Switch作为高速互联解决方案,在中国市场具有极大的需求。据QYResearch(恒州博智)的报告,中国是全球PCIe Switch最大的市场,占有大约50%的市场份额,之后是北美和欧洲,分别占比约13%和9%。

博通、微芯科技和祥硕科技为领域龙头。目前全球仅少数几家公司具备PCIe Switch芯片技术,核心厂商主要包括博通、微芯科技和祥硕科技三家,共占有全球约58%的份额。此外,国内如边缘智芯推出一款XPU X100芯片,可用于通讯行业、边缘计算、智慧工厂等领域,该芯片为国内第一颗PCIe交换芯片,既可以起到PCIe Switch数据芯片的功能,又可以实现以太网网络芯片的功能

2.2.2 信号衰减限制PCIe发展,PCIe Retimer提供解决方案

PCIe Retimer是为了解决PCIe总线的信号衰减问题而诞生的。由于PCIe传输速率不断攀升,工作频率越来越高,不可避免的带来了信号衰减问题。解决信号衰减的方案主要包括信号中继器/调节器(Redriver)、重定时器(Retimer)等。

Redriver:一种模拟信号芯片,功能主要为信号中继器。Redriver采用同等化(equalization)和预强调(pre-emphasis)技术来补偿信号损失,进而通过放大信号来增加讯号质量。Redriver信号通道包括CTLE(Continuous Time Linear Equalizer)、宽带增益节点以及线性驱动器。此外,Redriver可以发挥自动检测输入信号衰减情况和探测输出接收器(Rx)的功能,以确保Redriver在任何时候都能够提供最佳的信号传输性能。

Retimer:一种数模信号混合芯片,功能主要为重新生成信号。Retimer先恢复抖动的时钟信号,再生成新信号并重新发送,从而有效解决信号衰减问题。与Redriver相比,Retimer还包含时钟数据恢复电路(CDR,Clock Data Recovery)、决策反馈均衡器(DFE,Decision Feedback Equalizer)和有限脉冲响应驱动器(FIR,Finite Impulse Response)。

在AI服务器中,GPU与CPU连接时至少需要一颗Retimer芯片来保证信号质量。具体而言,很多AI服务器都会配置多颗Retimer芯片,例如Astera Labs在AI加速器中配置了4颗Retimer芯片。

与Redriver相比,Retimer恢复信号的效果更好。由于Retimer 具备数位信号处理 (DSP) 能力,能够在通讯信号已经与干扰耦合的情况下,仍然重建通讯信号。而Redriver仅能在物理层面增强信号,并且在增强信号的同时增强了噪音,因而难以处理杂糅信号。综上,在高带宽PCIe总线发展的背景下,Retimer芯片有望成为解决信号衰减问题的最优方案。

PCIe Retimer蓝海市场三足鼎立,潜在竞争者跃跃欲试。目前谱瑞-KY、Astera labs、澜起科技是PCIe Retimer蓝海市场中的三家主要厂商,占据领先地位。其中澜起科技布局 PCIe 较早,是全球可量产 PCIe 4.0 Retimer 的唯一中国大陆供应商,且目前PCIe 5.0 Retimer 研发顺利。此外,包括瑞萨,TI,微芯科技等在内的芯片厂商也在积极投入PCIe Retimer的产品研发中。根据官网信息,瑞萨可提供包括89HT0816AP和89HT0832P在内的两款 PCIe 3.0 Retimer产品;TI 可提供一款16Gbps 8通道PCIe 4.0 Retimer——DS160PT801;同样地,微芯科技于2020年11月发布XpressConnect系列Retimer芯片,可支持PCIe 5.0的32GT/s 速率。

2.3 GPU与GPU连接:NVLink、NVSwitch

2.3.1GPU互联革命, NVLink高速接口应运而生

高性能服务器的血液——高速串行接口芯片。在大数据与万物互联的智能时代,以高速串行接口(wireline SerDes)为核心的高速互连技术是数据传输所不可或缺的部分。针对AI服务器中PCIe带宽限制对于GPU间通信带来的阻碍,以NVDIA NVLink为代表的高速接口芯片应运而生。

全球各大芯片厂商均在关注高速接口的相关技术,除了NVDIA的NVLink之外,AMD 的Infinity Fabric和Intel的CXL(Compute Express Link)等也为服务器内部的高速串联提供了解决方案。

不断迭代更新的NVlink掀起高速互联技术革命。NVLink是一种由NVIDIA开发的高速互连技术,旨在加快CPU与GPU、GPU与GPU之间的数据传输速度,提高系统性能。从2016年到2022年,NVLink已经迭代至第四代。

2016年,NVDIA发布了Pascal GP100 GPU所搭载的新的高速接口芯片——NVLink,这是第一代NVLink。NVLink采用High-Speed Signaling interconnect(NVHS)技术,主要用于GPU与GPU之间、GPU与CPU之间的信号传输。GPU之间传输NRZ(Non-Return-to-Zero)编码形式的差分阻抗电信号。第一代NVLink单链可实现40 GB/s的双向带宽,单芯片可支持4链路,即160 GB/s的总双向带宽。

此后,NVLink历经多次迭代更新,掀起了高速互联技术革新浪潮。2017年,基于Volta架构的第二代NVLink发布,单链可实现50 GB/s的双向带宽,单芯片可支持6链路,即300 GB/s的总双向带宽。2020年,基于Ampere架构的第三代NVLink发布,单链可实现50 GB/s的双向带宽,单芯片可支持12链路,即600 GB/s的总双向带宽。2022年,基于Hopper架构的第四代NVLink发布,传输信号变为PAM4调制电信号,单链可实现50 GB/s的双向带宽,单芯片可支持18链路,即900 GB/s的总双向带宽。

2.3.2NVSwitch:实现服务器内多GPU高速互

2018年NVDIA发布了第一代NVSwitch,为提高服务器内部带宽、降低延迟、实现多GPU间通信提供了解决方案。第一代NVSwitch采用台积电12nm FinFET工艺制造,共有18个NVLink 2.0接口。一台服务器内部可以通过12个NVSwitch支持16个V100 GPU,实现以NVLink最高速率互联。

目前NVSwitch已经迭代至第三代。第三代NVSwitch采用 TSMC 4N 工艺构建,每个NVSwitch芯片上拥有64个NVLink 4.0端口,GPU间通信速率可达900GB/s,这些通过NVLink Switch互联的GPU可用作单个高性能加速器,拥有深度学习的功能。

NVLink与NVSwitch也可以实现服务器节点间的高速扩展性互联。基于第三代NVSwitch,通过在服务器外部增加一层NVSwitch,NVLink网络最多可连接32个服务器、256个GPU,并提供57.6TB/s的多对多带宽,满足AI和高性能计算(HPC)对于多节点、多GPU之间高速通信的需求。

2.4CPU与DRAM高速互联,拉动内存接口芯片

2.4.1CPU与DRAM互联:内存接口芯片(RCD+DB)

服务器内存模组主要类型为 RDIMM、LRDIMM,相较于其他类型内存模组,服务器内存模组对稳定性、纠错能力以及低功耗均有较高要求。内存接口芯片是服务器内存模组的核心逻辑器件,是服务器CPU存取内存数据的必由通路。其主要作用是提升内存数据访问的速度及稳定性,满足服务器 CPU对内存模组日益增长的高性能及大容量需求。内存接口芯片需与内存厂商生产的各种内存颗粒和内存模组进行配套,并通过服务器CPU、内存和OEM厂商针对其功能和性能进行全方位认证。

从DDR4至DDR5,内存接口芯片速率持续升级。2016年开始,DDR4成为内存市场的主流技术,为了实现更高的传输速率和支持更大的内存容量,JEDEC组织进一步更新和完善了DDR4内存接口芯片的技术规格。在DDR4世代,从Gen1.0、Gen1.5、Gen2.0到Gen2plus,每一子代内存接口芯片所支持的最高传输速率在持续上升,DDR4 最后一个子代产品 Gen2plus 支持的最高传输已达3200MT/s。随着JEDEC组织不断完善对DDR5内存接口产品的规格定义,DDR5内存技术正在逐步实现对DDR4内存技术的更新和替代。DDR5第一子代内存接口芯片相比于DDR4最后一个子代的内存接口芯片,采用了更低的工作电压(1.1V),同时在传输有效性和可靠性上又迈进了一步。目前DDR5内存接口芯片已经规划了三个子代,支持速率分别是4800MT/s、5600MT/s、6400MT/s,行业内预计后续可能还会有1~2个子代。

内存接口芯片按功能分为两类,分别为是寄存缓冲器(RCD)以及数据缓冲器(DB)。RCD用来缓冲来自内存控制器的地址、命令、控制信号,DB用来缓冲来自内存控制器或内存颗粒的数据信号。RCD与DB组成套片,可实现对地址、命令、控制信号和数据信号的全缓冲。RDIMM仅采用了一颗RCD芯片,而LRDIMM采用了RCD和DB套片组合,在DDR4世代是“1+9”架构即1颗RCD和9颗DB芯片,在DDR5世代则演变成“1+10”架构。

DDR5内存模组升级带来内存接口芯片及模组配套芯片新机遇。2016年全球内存接口芯片市场规模约2.8亿美元,2018年达到约为5.7亿美元,三年年化增长率为40%。DDR5升级,将带来内存接口芯片市场规模攀升。相较于DDR4,由于DDR5支持速率更高、设计更为复杂,DDR5第一子代内存接口芯片的起始单价比DDR4内存接口芯片更高。同时,随着DDR5内存在服务器、PC端的渗透率逐步提升,DDR5相关内存接口芯片市场规模有望实现高速增长。

2.4.2内存接口芯片高壁垒,市场三足鼎立形成

内存接口芯片行业壁垒高,三足鼎立格局已经形成。内存接口芯片是技术密集型行业,需要通过 CPU、内存和 OEM 厂商的全方位严格验证后,方可进行大规模使用,新的玩家很难介入。随着技术难度不断升级,内存接口芯片玩家从 DDR2 世代的 10 多家到 DDR4 世代只剩 3 家,行业基本出清,三足鼎立格局已经形成。在DDR5世代,全球只有三家供应商可提供 DDR5 第一子代的量产产品,分别是澜起科技、瑞萨电子(IDT)和Rambus。

3服务器外部:高速传输需求激增,SerDes核心受益

3.1光模块速率升级,SerDes通道用量及速率双升

服务器互联传输速率提升,AOC替代DAC成为主流传输介质。过去服务器主流背板数据传输速度是40Gbps时,常用DAC(Direct Attach Cable)铜线电缆满足多数机房设备连接的需求,同时由于其造价成本较低,成为服务器传输用主流介质。不过随着带宽的逐步升级,尤其是目前传输速率达到400Gbps/800Gbps时,铜线电缆受限于自身的铜材料物理属性、传输的电信号性质及电磁干扰,已无法满足传输需求。因此100Gbps以上高速传输,已逐步采用AOC(Active Optical Fiber)作为传输介质。

光收发器(光模块)是AOC核心基件,其作用实现需要配备高速SerDes通道。AOC由集成光电器件(两个光收发器和多模光纤)组成,其中,AOC两端的光收发器作为光电转换枢纽,提供光电转换以及光传输功能,以提高光缆的传输速度和传输距离,且不会减弱与标准电接口之间的兼容性。

拆解光收发器,其内部由光发射器件(TOSA,含激光器)、光接收器件(ROSA,含光探测器)、功能电路和光(电)接口等部分组成。在发射端,驱动芯片对电信号进行处理,然后驱动半导体激光器(LD)或发光二极管(LED)发射出调制光信号,将电信号变成光信号;在接收端,光信号进入后,由光探测二极管转换为电信号,经前置放大器后输出电信号,将光信号变成电信号。

此外,光模块还需集成SerDes通道,完成从服务器到AOC的光电信号转化。服务器内部的并行电信号需要通过SerDes通道转换为高速串行电信号,再通过光模块将高速串行电信号转换为光信号,反之亦然。此外,SerDes通道还可提供如数据打包、编码和解码等额外功能,以增强光模块性能。

例如速率为100GE的QSFP28光模块中,通常具有4个25Gbps SerDes通道来满足传输速率需求。

通过提升SerDes通道用量及速率,实现光模块速率升级。随着AI、云计算等业务的快速发展,服务器传输需求增长,光模块也随之快速发展。光模块提升带宽的方法有两种:1)增加SerDes通道数;2)提高每个SerDes通道的比特速率。

具体来看,光模块从10GE提升到40GE,主要利用通道数提升(从1通道提升到4通道);而从40GE提升到100GE,主要利用单通道的比特速率提升(单SerDes通道速率从10Gbps提升到25Gbps)。

随着服务器发展对带宽要求提升,需采用400GE/800GE甚至更高速率的光模块,也会继续带动SerDes升级需求。

以400GE为例,光模块需要更快的SerDes通道速率(50Gbps)。可以采用PAM4(PAM4是采用4个不同的信号电平进行传输,每个时钟周期可以传输2bit的逻辑信息,即(00、01、10、11)信号调制技术),利用该技术可以在相同的物理带宽下传输两倍的信息量。此外,目前业内也在研发基于光电集成技术出现的光SerDes,该技术可直接将低速并行电信号转换为高速串行光信号,并实现低静态功耗,进一步提高带宽。

3.2交换机带宽需求激增,SerDes持续成长

交换机带宽伴随应用需求而提升,SerDes速率及数量激增。随着AI和虚拟现实等更高带宽应用的出现,交换机在数据中心市场迎来巨大发展空间,为满足带宽需求,各厂商正推动交换机的数据链路从100GE向400GE和800GE等更高速率方向发展。这种趋势促使交换机芯片带宽呈发展趋势,带动交换机芯片周围的SerDes速度从10Gbps提升到100Gbps,同时,SerDes数量也从64通道逐步增加到512通道(用于容量51.2T交换机,容量=SerDes速率×通道数)。

3.3广阔服务器市场推动服务器外部各类接口增长

服务器的各种外接设备连接、驱动等需求,还需用到多种接口芯片,例如USB(用于连接鼠标等)、SAS(用于连接存储设备)、SATA(用于连接驱动)等。服务器各种新兴应用场景及带宽要求增加也会给予其外部接口发展契机,各类接口芯片用量及单价空间也将迎来想象空间。

4 投资建议

AI时代浪潮汹涌,海量数据催生庞大的算力需求,将带动服务器产业链需求量持续上涨。作为芯片与外部世界的交互媒介,接口芯片实现量增的同时,也朝向更高速率、更大传输带宽发展。建议关注芯原股份、裕太微-U、澜起科技、龙迅股份等。

5 风险提示

1) AI应用不及预期风险。若AIGC应用因算力、投资等问题落地不及预期,则相关公司成长逻辑有可能不及预期的风险。

2) AI服务器出货不及预期的风险。若企业对算力投资不及预期,或是GPU供应不足,可能影响AI服务器出货量。

3) 国产替代进展不及预期的风险。若自主可控推进不及预期,存在相关上市公司业绩增速不及预期的风险。

本文源自报告:《电子行业专题研究:AI服务元年,接口芯片核心受益》| 发布时间2023年5月10日 | 发布报告机构:民生证券研究院 | 报告作者:方竞 S0100521120004

研究团队简介

方竞,民生电子首席分析师,西电本硕连读,5年半导体行业从业经验,曾于德州仪器等全球龙头企业任职。同时还是半导体创业孵化平台IC咖啡的发起人。

作为团队核心成员获19年新财富电子行业第3名;18/19年《水晶球》电子行业第2/3名;18/19年《金牛奖》电子行业第3/2名。作为首席获21年Wind金牌分析师第4名。执业证号:S0100521120004

李少青,电子行业资深分析师,武汉大学硕士,曾任职于西南证券、信达证券,2022 年加入民生证券。执业证号:S0100522010001

童秋涛,电子行业分析师,复旦大学硕士,曾供职于信达证券股份有限公司,2022年加入民生证券。执业证号:S0100522090008

李萌,电子行业分析师,华东师范大学金融硕士,曾供职于方正证券研究所,2022年加入民生证券。执业证号:S0100522080001

张文雨,电子行业研究员,上海交通大学硕士,曾供职于信达证券研究所,2022年加入民生证券。执业证号:S0100123030013

特别声明

《证券期货投资者适当性管理办法》、《证券经营机构投资者适当性管理实施指引(试行)》于2017年7月1日起正式实施。通过新媒体形式制作的本订阅号推送信息仅面向民生证券客户中的专业投资者,请勿在未经授权前进行任何形式的转发。若您非民生证券客户中的专业投资者,为保证服务质量、控制投资风险,请取消关注本订阅号,请勿订阅、接收或使用本订阅号中的任何推送信息。因本订阅号难以设置访问权限,若给您造成不便,烦请谅解!感谢您给予的理解和配合。

学到了「【民生电子】专题报告:AI服务器元年,接口芯片核心受益」天津公安民生服务平台电话号码天津公安民生服务平台无犯罪证明

  • 这都可以?「数据中心IDC产业研究报告:碳中和背景下,IDC
  • 万万没想到「IDC业务前景如何?」idc行业发展前景idc业
  • 一篇读懂「IDC行业的发展现状与产业链分析」idc发展方向i
  • 原创「我国IDC行业未来发展趋势及规模预测」2020-202
  • 新鲜出炉「“双碳”下IDC行业发展趋势」双碳 能源互联网id